购彩平台注册,购彩软件下载,购彩信誉平台

  • <tr id='l9AHFZ'><strong id='l9AHFZ'></strong><small id='l9AHFZ'></small><button id='l9AHFZ'></button><li id='l9AHFZ'><noscript id='l9AHFZ'><big id='l9AHFZ'></big><dt id='l9AHFZ'></dt></noscript></li></tr><ol id='l9AHFZ'><option id='l9AHFZ'><table id='l9AHFZ'><blockquote id='l9AHFZ'><tbody id='l9AHFZ'></tbody></blockquote></table></option></ol><u id='l9AHFZ'></u><kbd id='l9AHFZ'><kbd id='l9AHFZ'></kbd></kbd>

    <code id='l9AHFZ'><strong id='l9AHFZ'></strong></code>

    <fieldset id='l9AHFZ'></fieldset>
          <span id='l9AHFZ'></span>

              <ins id='l9AHFZ'></ins>
              <acronym id='l9AHFZ'><em id='l9AHFZ'></em><td id='l9AHFZ'><div id='l9AHFZ'></div></td></acronym><address id='l9AHFZ'><big id='l9AHFZ'><big id='l9AHFZ'></big><legend id='l9AHFZ'></legend></big></address>

              <i id='l9AHFZ'><div id='l9AHFZ'><ins id='l9AHFZ'></ins></div></i>
              <i id='l9AHFZ'></i>
            1. <dl id='l9AHFZ'></dl>
              1. <blockquote id='l9AHFZ'><q id='l9AHFZ'><noscript id='l9AHFZ'></noscript><dt id='l9AHFZ'></dt></q></blockquote><noframes id='l9AHFZ'><i id='l9AHFZ'></i>

                晋城冰箱价格联盟

                微电子制造工艺科普

                只看楼主 收藏 回复
                • - -
                楼主

                文章的第一部分,咱们初步了解什么是晶体管、其结构、其功能和搭积木式的简介制造工序

                一、晶体管

                1.1 简介

                很简单,看官就把晶体管看成是一个开关就行了。想象一个黑盒子,伸出三个端口。一个端口是闸刀,就是控制开和关这两个状态的,比如说给信号0就关,给信号1就开。另外两个端口,一个是电流的进口,一个是电流的出口。给信号0,电流断开;给信号1,电流连上。

                这个开关最理想的工作状态是,给0V(也就是信号0),或者0V以下,没有任何电流流过那两个端口;给一个开启电压(比如1V,也就是信号1),有电流流过,而且这个电流越大越好。另外这个开启电压我们希望越小越好,因为所需电压〖越小,功耗就越低。

                那为什么简单的开关就可以组成如此功能强大CPU呢?其实就是这样,开关的不同连接方式就可以组成不同的门,比如或门与门非门,而这三种门就可以达成所有运算逻辑了。2014年发布的 Core i7 Haswell 大概集成了1,400,000,000个晶体管。提一句,所谓22nm制程指的是,源极和漏极中间的距离,也就是有效沟道长度。

                说到这里,就不得不提ζ 一下摩尔他老爷子,英特尔的联合创始人。他著名的摩尔定律一直主宰着半导体业界的发展:集成电路上可容纳的晶体管数目,约每隔两年便会增加一倍。近两年关于摩尔定律能否持【续的讨论一直都有,但大致的趋势没有动摇。

                Fig. 1?76岁时的摩尔老爷子

                1.2 结构

                这里要介绍的是我们组里做的晶体管,叫做薄膜晶体管(Thin Film Transistor,TFT)。字面看,就是一层一层薄膜堆叠出来的晶体管。与工业界通用的基于Si的MOSFET,或者FinFet不同,但是原理一样,而且工艺更简单。所以用这个来介绍可以让读者更加方便的了解○器件制程技术。

                Fig. 2??TFT 示意图

                不论TFT还是MOSFET,FinFET,基本结构都是一样的:Gate(栅极)、Source(源极)、Drain(漏极)。和上文相对应,gate就是控制开关,而S/D就是电流的两个端口,一个进一个出,谁进谁出无所谓,因为是对称的。

                Gate:栅极,电极,可以是金属,上图用的是重掺杂的Si,已经是导体了
                Dielectric layer:介电层,理想情况下是绝缘体,在沟道与栅极间形成电容
                Channel:沟道,半导体,电子流动的地方
                S/D:源极漏极,两个电极,金属

                在“关”状态,理想情况下S/D电阻无穷大
                在“开”状态,理想情况下S/D间电阻为零(但这显然不可能)

                这个开关的工作原理其实很简单:
                首先,keep in mind ZnO是n型半导体,电子导电。在源极接地的情况下,给gate apply一个正电压,电子从源极流向channel,开关打开,可以导电;给gate apply一个负电压,电子从channel流向源极(地),channel没电子,不导电,开关关住。

                1.3 制造工艺

                简单说,就是搭积木,一层一层搭上去。不同层用不同的工艺不同的机器,这里简略介绍每一层都是用】什么设备做的,后面会分文章详细叙述。

                介电层 SiO2: 1. thermal oxide;2. PECVD deposited oxide
                1. Thermal SiO2指的是把硅片放进卧式炉,高温下通氧气或者水蒸气,氧化硅片表面Si,实现SiO2
                2. PECVD deposited SiO2:PECVD是等离子体增强型化学气相沉积的英文缩写,是一台真空设备。硅烷和笑气在等离子体和高温的帮助下反应生成二氧化硅,沉积在样品表面。

                沟道ZnO:ZnO的实现方法有很多,笔者做的最多▆的是MOCVD,金属有机物化学气相沉积。简而言之,就是带有Zn基团的有机物在高温下和氧气反应,生成ZnO沉积在样品表面。另外还有溅射法。溅射法是利用等离子体轰击大块■ZnO靶材,轰击出来的ZnO分子沉积在样品上。还可以用ALD做,原子层沉积。一层Zn一层O长上去。在后面的文章中都会有详细介绍。

                源极漏极S/D:金属。用电子束蒸发沉积的方法把金属镀上去。电子束蒸发沉积就是用高能电子束轰击金属,使金属高温气化,气态金属原子就可以沉积到样品上了。

                这里有一个很重要的问题就是,不论何种方法把材料镀上去,都是铺在整个样品上。我们只需要某些特定的地方有,而其他地方没有这个技术是如何实现的?

                二、光刻 Photo-lithography

                光刻的原理:光刻胶(Photoresist,PR,又叫光阻)是一种光敏感材料,被UV(紫外光)照射后化学性质会发生变化,可以被显影液(Developer)泡掉;而没有被紫外光照射的部分,就不会被泡掉。通过这一点,就可以在光刻胶上开洞,然后把暴露ω 出来的下面的材料刻蚀掉,而被光刻胶覆盖住的部分就不会被刻蚀液影响。

                光刻样品需要分几步?把冰箱门打开,把大象塞进去,把冰箱门关上。哦,不不,跑题了。。。其实光刻分四步:涂胶曝光显影刻蚀。本着认真负责,而且不侵犯图片版权的态度,自己画了以下流程图。(这里以TFT制程中需要光刻的第一步举例)


                Fig. 3?光刻流程示意图。(1)需要被刻蚀的ZnO已经沉积在SiO2/Si沉底上;(2)涂胶;(3)曝光;(4)显影;(5)刻蚀;(6)清洗。

                这里需要提一句,由于光刻胶是光敏感材料,以下所有工艺最理想情况下全部都要在黑暗情况下进行。但是人眼需要看嘛,需要光,而光刻胶对于黄光的吸收是最弱的,所以我们把房间照黄光。


                Fig. 4? 黄光室


                2.1 涂胶 Spin-coating
                利用旋涂的方法,把光刻胶(Photoresist,PR)涂上去。因为光刻胶是粘性的,所以可以用这种方法。旋涂就是下面一个托盘,中间有个洞可以吸气,把样品背面吸上去,然后把光刻胶挤上样品正面去,然后高速旋转,就涂平了。光阻层的厚度与转速成负相关,相关参数可以在所用的光阻说明书上找到。

                Fig. 5?旋涂机 (Spin Coater)


                2.2 曝光 Exposure
                光刻板
                (mask,又叫光罩):光刻板就是在石英板上镀上呈某种图案排列的铬金属。石英透光,金属不透光。UV光透过光刻板照射光刻胶后,被照射地方的化学性质发生变化,而没有被光照射到的地方性质不变。性质变化的部分可以在显影液中被反应掉,暴露出下面的材料,这部分材料在刻蚀液中被刻蚀掉。光刻板上的图案如何,就意外着这颗晶体管的设计如何。光刻板一旦制造完成,不可更改。所以在芯片制程中,光刻板的设计至关重要。微电子微电子,学术上用的光刻板的图案最小尺寸一般在微米量级。高线宽要求使得制造光刻板的成本也相应增高。一块作业本大小的光刻板大概?$1000?左右。

                Fig. 6 光刻板


                光刻机:上面是紫外线UV光源,中间放◤光刻板,下面放涂好了光刻胶的样品

                Fig. 7 Karl-Suss MJB-3 光刻机。这破机器得德国进口,虽然是淘汰产品,海关还不好过,二手的也还得?$20,000+?一台。


                把涂好胶的样品放进光刻机,把光刻板放进去,UV照射持续某个特定时间,被照射部分的光刻胶化学性质发生变化,完成曝光。曝光时间过短,导致曝光不完全,延长显影时间,太短的话图案根本出不来;曝光时间过长,由于光的衍射,被光刻板遮盖住的ㄨ地方一样会被曝光。

                2.3 显影 Develop
                把曝过光的样品放进显影液显影。注意:这个时候手不能晃,手晃了,影就很可能显花了。显影大概几十秒,时间过短,反应不完全;时间过长,没有被曝光的部分也会开始反应,所以显影时间需要控制。另外,显影液对温度极度敏感,若房间温度变化超过5摄氏度,显影时间变化较大。
                显完影之后的样品,被曝到光的光刻胶全部被显影液反应掉,暴露出光刻胶下面的材料;而没有被曝光的光刻胶还在上面,遮盖住下面的材料。下图可〇以很明显的看出来,显影液中红紫色的东西是反应掉的光阻。


                Fig. 8 显影



                2.4 刻蚀 Etching
                此时把样品放到刻蚀液(etchant)里刻蚀。为了加速离子输运,一般是用镊子捏住样品,然后在酸里面晃。注意别晃掉了。刻蚀ZnO用的刻蚀液是盐酸,配好浓度,几秒就可以了。为了更加精确控制,可以盐酸之后再加一步醋酸,因为醋酸的刻蚀速率比盐酸慢很多。若刻蚀时间过长,导致侧向腐蚀,时间太长,下面可能就刻穿了(开玩笑的,侧蚀速率比纵向慢的多);时间过短,刻不完全。
                光刻胶不会和酸反应。这一步把没有被光刻胶覆盖的材料刻蚀掉,而被光刻胶遮盖住的部分不受影响。

                2.5 清洗 Cleaning
                光刻胶本身是有机物,相似相容,溶于有机溶剂。依次用丙酮甲醇去离子水,在超声机里振,把光刻胶洗掉,刻蚀过后的ZnO薄膜一块一块地排列在样品上,形成Fig. 3 (6) 的样子,这就是一个一个器件的沟道。超声机其实就是眼镜店里给顾客洗眼镜的,不贵,$150左右。

                Fig. 9 某品牌超声机


                做到这一步,光刻的所有步骤就做完了。因为这一步骤在整个半导体器件制程中至关重要,且会重复多遍,所以专文叙述,不知道看客看明白了么?笔者正在申请知乎专栏,希望可以很快批下来。本系列的后续文章将按照制程工艺的顺序,一篇文章一个工艺地介绍。下一篇文章将介绍介电层沉积,也就是Fig. 3中的SiO2是怎么来的。

                补充说明:
                1. 光刻胶分正胶、反胶,上文介绍的是正胶。反胶说的是,被UV照射过的地方不与显影液反应,而没有被照射过的地方反应
                2. 光刻板也分阴阳两种,上文介绍的是阳文的,也就是需要留材料的地方光线不可以通过,而不留材料的地方光线可以通过。阴文相反。
                3. 光刻胶正胶反胶的选择与光刻板阴阳的选择是有讲究的,本文不做深究,后续文章在介绍lift-off工艺的时候会讨论。
                4. 其实上文中缺了一步很重要的步骤:烘焙。这一步在涂胶之后,曝光之前。因为为了旋涂,光刻胶呈粘稠液体状,但是曝光的时候不能是粘稠液体,不然光刻板就被粘住了,而且会把光刻板弄得很脏。所以在曝光之前,需要烘焙一下,把多余的液体成分烘干。

                三、切割&清洗 Cutting&Cleaning

                清洗和切割就没有必要单独一篇文章叙述了。放在这里和介电层沉积一块讲。原则上,在学校的实验环境中,每一个步骤开始的时候,都是需要清洗的。

                3.1 切割 Cutting

                首先准备Si衬底。完整的Si晶圆都是很大一块的,比如8寸,10寸,工业界的会更大,因为晶圆越大,单个device的制造成本就越低。而就学术界来说,不需要批量生产,也碍于设备的限制,完全没有必要用大块晶圆,所以我们组一ㄨ般把sample切成 1.5 X 2 cm 的大小。这个尺寸下,器件数目足够多,而且便于人工操作和机器摆放。切割纯手工,用玻璃刀,说∮好听点叫钻石笔,笔头确实是金刚石。。。

                Fig. 11 钻石笔



                3.2 清洗 Cleaning

                即便是在超净间(cleanroom)的环境下,Si片表面的污染也是不可能避免的,特别是被镊子夹过的地方。所以在正式开始之前,需要清洗样品。学术界通用的简便方法就是依次用?丙酮(acetone),甲醇(methanol),和去离子水(DI water)在超声里各振5min就行了,最后氮气吹干。超声就是眼镜店用来洗眼镜的机器。而更严谨一些需要用RCA cleaning,比较复杂。

                丙酮:很好的有机溶剂,溶解样品上的◥有机物
                甲醇:也是有机溶剂,且它既溶于丙酮也溶于水,可以把第一步残留的丙酮带走
                去离子水:把前一步残留的甲醇和样品上的无机物带走

                关于去离子水,去离子这三个字很重要,否则给器件带来的影响是灾难性的,因为电学测量结果会乱跳,毫无规律。比如,2013年我们实验室去离子水中多出了很多Cl-,导致所有工作停滞半年。这半年主要是花在查问题究竟出在哪了,因为谁都想不到会是水出了问题。

                四、介电层沉积 Dielectric Layer Deposition

                可以做介电层的材料有很多,Si工艺中最常用的就是SiO2。因为你再也找不出其他╳什么材料可以和Si有这么好的交界面了(自己和自己的氧化物交接嘛)。 除此之外,在工业界,为了适应有效沟道长度(也就是XXnm制程)越来越小的要求,SiO2的厚度已经被迫减薄到几个纳米了。由于量子力学效应,电子会发生隧穿,产生沟道到栅极的漏电,削减源极漏极间的开电流。这是我们不希望看到的。在不牺牲』电容的情况下,为了让介电层变厚,只能换用高介电常数(high-k)的材料,比如Al2O3、HfO2、ZrO2等。但是Al3+,Hf4+,Zr4+有很大的可能性在高温工艺下扩散进Si,导致器件栅极天生带正电,影响开启电压和开启速度。所以换用high-k之后,交界面问题是主要聚焦研究的问题。

                以上是背景介绍,现在以SiO2举例,正式讲讲这一层怎么做。在Si上生长或者沉积SiO2主要有两种方法:热学氧化?(Thermal oxidation)、和等离子体增强型化学气相沉积(Plasma Enhanced Chemical Vapor Deposition, PECVD)。各有各的优缺点,下面分别说明。

                4.1 热学氧化 Thermal Oxidation

                很直白,就是把清洗后的硅片放进卧式炉里,通氧气,或者通水蒸气,然后千度高温烧,表面的Si就会被氧化成∏SiO2了。氧化层的深度和时间是非线性关系,越深越难被氧化。

                干法(Dry oxidation):通氧气高温加热。氧化层纯度高,质量好,但是氧化速度慢
                湿法(Wet oxidation)通水高温加热。速度快,但是纯度不高

                Fig. 12 卧式炉,把硅片推进去烤就行。注意:用热学氧化方法镀SiO2不要事先切好样品,而是把完整晶圆推进去,之后再切。卧式炉的尺寸是给完整晶圆设计的,切好后样品太小,放不成。再注意:推拉必须缓,否则温差过大,晶圆就炸了。


                4.2 等离子体增强的化学气相沉积 PECVD

                这中文名字有点绕,一般就叫PECVD了。这是一台真空设备,向真空腔里通入N2,用于产生等离子体。等离子体是继固液气后的第四种物质形态,又叫电浆,说白了就是正负电荷︻间的化学键被打断,变成一坨各种正负电荷无规律的在一块的“气体”。特点就是带有极性,而且高能,可以降低化学反应的反应温度。在等离子的帮助下,高温加热,再通入硅烷(SiH4)和笑气(N2O),二者反应,生成SiO2和NH3,那SiO2就沉积在样∑品上了。

                这里需要注意的是,二者是气相反应,那是不是说,满真空腔就全是SiO2颗粒了呢?答案:是的。但是可以控制,让样品下方温度最高,而其他地方温度相对较低,或者冷壁,减少SiO2污染。但不管︼怎么说,PECVD的腔体都是很脏的。

                Fig. 13 PlasmaTherm 790 PECVD。本想查一下价格,都得正式▲询价,没查到,算了。。。


                PECVD生成的SiO2在质量上不如烤出来的,原因很显然:

                1. PECVD SiO2是SiH4和N2O反应生成,沉积在Si表面的。而热学方︻法的SiO2是氧进硅片生成的。致密度上,明显烤箱做的会比PECVD好。更高的致密度,更加绝缘,更不容易漏电。

                2. 同一台PECVD设备不仅能长SiO2,还能长其他材料,比如SiNx等。而且放进反应腔的样品也不一定是硅片,可以▂是玻璃,蓝宝石,甚至是塑料。这些因素都会污染反应腔,那生长出来的氧化物也是很可能被污染的。另一方面,当然可以清洗PECVD,这是PECVD维护↑的一部分。


                那为什么还要用PECVD呢?

                1. PECVD的SiO2生长速率是非常线性的,所以可以很方便地预估厚度

                2. PECVD生长速率比烤炉快太多,省时间

                3. PECVD生长成本低

                介电层镀完,产生了一个问题,就是不导电的SiO2把要作为栅极的Si完全覆盖住了,最后测量电性的时々候,探针扎不到了,怎么办?这一步在最后一步,也就是Fig. 10(5)-(6)中解决。简而言之,给SiO2挖一个洞就行了。

                五、沟道沉积 Channel Deposition

                可以做ZnO沟道沉积的设备有很多,大体可以分为三类:物理气相沉积(PVD)、化学气相沉积(CVD)、和湿法。每类中又有多种方法:

                PVD:溅射(Sputtering),等
                CVD:金属有机物化学气相沉积(MOCVD),原子层沉积(ALD),等
                湿法:溶胶凝胶(Sol-Gel),等

                可为了文章更加◥丰满,这里同时介绍ALD,以做对比。

                5.1 金属有机物化学气相沉积 (MOCVD)

                MOCVD的原理其实很简单,就是带有金属基团的化学有机物(习惯性叫前驱体,Precursor),与氧化剂,或者其他什么乱七八糟的氮化剂砷化剂等等,在高温或者等离子体的帮助下反应,生成半导体,沉积在衬底表面。我们这里做ZnO,所以以ZnO举例。

                常用Zn的金属有机物是DeZn,化学简式(C2H5)2Zn,熔点-28C,所以常温下呈液态。重点是,这玩意与空气中的氧气一经接触,立即发生剧烈的氧化反应,所以易燃易◥爆炸!不仅DeZn,所有前驱体都是这个特点,易燃易爆炸!笔者的学长5年前,给MOCVD换前驱体的时候,不慎被喷了一身,还好穿了防护服。重要的事情说三遍,易燃易爆炸!

                我们组氧化DeZn用的是O2。在真空腔高温(~400°C)环境下,DeZn和O2发生氧化反应,方程式有点复杂(见Fig. 11),但不管怎么说,生成的ZnO沉积在衬底表面。和上期提到的PECVD原理基本一致,毕竟都是CVD。这种反应原理带来一个通病,PECVD里也讲过,就是生成物可以沉积到所有地方,污染反应腔。缓解办法是只给所在衬底的底面加热,而其他地方低温,或者甚至通冷却水,减慢反应速度。即便这样,每过一段时间,都需要拆开机器,清理反应腔内壁。否则生长出的薄膜必然受影响(比如有杂物掺在薄膜里)。


                Fig. 14 DeZn和O2的√反应方程式


                这里有一个问题,前文说前驱体在空气中易燃易爆炸,可为什么在反应腔里,高温富氧,不爆炸呢?

                Fig. 15 长ZnO的MOCVD气路图。液体的前驱体(DeZn)在瓶子里,被载气(Ar)吹,气化的前驱体随载气出来,进入反应腔。O2氧化DeZn,生成ZnO。N2起维持反应腔气压,稀释反应物浓度作用。


                全世界生产制造MOCVD的厂家就两家,VeecoAixtron。前段时间闹得沸沸扬扬的◢中国计划收购Aixtron的事情,被奥巴马明令禁止了,再次凸显掌握核心科技的重要性。顺带说一句,我们组用的MOCVD是近30年前,师兄前辈自己搭出来的。维修维护,没有任何厂家可以依赖,全凭师兄师弟一代一代传承下来,至今还能正常工作。这里随手拿一款Veeco的MOCVD震贴。

                Fig. 16 Veeco MOCVD系统,这一套 ~$1million。这玩意费钱不是一般的,小小一个气阀,少说¥1000软妹币。(注意这里两个货币的区别...)


                5.2 原子层沉积 (Atomic Layer Deposition, ALD)

                ALD是我最近才接触的技术,还不是很熟,多多指教。

                ALD的原理,字面理解,就是一层原子?一层原子的生长。生长ZnO,需要两种反ξ应物,DeZnH2O。反应温度 ~200°C。DeZn与H2O的反应比与O2的反应简单的多。反应顺序如下:


                Fig. 17 摘自台湾大学材料科学与工程学系暨研究所网站


                1. H2O:通零点几秒的水蒸气。H2O的-H脱掉,形成H2,剩下的-OH附着在衬底上

                2. 吹N2:把多余的H2O清理掉,大概10s

                3. DeZn:通零点几秒的DeZn,Zn(C2H5)2的其中一个-C2H5和衬底上的-OH中的-H生成C2H6气体飞走,剩下-O-Zn-C2H5留在衬底上

                4. 吹N2:把多余的DeZn和副产品C2H6吹走,大概10s

                5. H2O: H2O中的-H和-C2H5生成C2H6被吹走,-OH附到链上去
                  ...


                1到4一个循环称为一个cycle。反应如此往复↑进行,一层一层原子堆上去。缺点是,太慢!一个cycle厚度0.1nm,得20s。如果我要镀50nm,500X20=10,000s,也就是2.8h。而MOCVD长50nm,5min解决。


                Fig. 18 Cambridge Fiji 200 ALD


                因为ALD是一层原子一层原子铺上去的,所以均匀性非常好,衬底所有地方都会有一层东西镀上去。请看下图,一层ALD的膜非常均匀的铺在上面。其他技术是非常难做到的,凹陷下去的地方会被架空掉。

                Fig. 19 ALD沉积的均匀性



                沟道镀完了,但是不管用什么技术镀沟道,沟道都是铺在整个衬底上的。我们需要的晶体管是一颗一颗的,不是一张大饼。那我们要怎样把一张大饼变成一颗一颗呢?请出门左转,见微电子制造工艺科普(2)? 光刻 - 知乎专栏。顺道我们就把流程图中(3)-(4)也解决掉了。光刻这篇文章在以后的文章中还会来回引用,因为光刻是整个微电子工艺的基石。

                七、开洞(VIA)

                7.1 VIA

                标题VIA,VIA这个术语用中文该怎么翻译,不知道。。。就称之为“开洞”好了。

                最后一次请出流程图:

                Fig. 10 TFT制造工艺流程简图 (0)完整Si晶圆,晶圆太大了↘,画不下!(1)切好的Si衬底, (2)镀上SiO2介电层,(3)镀上ZnO沟道层,(4)沟道图形化(Channel Define),(5)源极(Source)漏极(Drain),(6)把栅极,也就是Si,开出来。


                这一步就是在SiO2上开个洞。那为什么要开这个洞?是因为Si衬底在这个器件中充当了栅极的作用,用以控制ZnO沟道的导电情况。

                下面把上图中VIA省略的工艺细节呈现出来。


                Fig. 25 VIA完整工艺图(为了图片展示,图中各层材料厚度不成比例)。(1)已经做好了S/D的TFT;(2)涂上光阻,并曝光、显影,漏出需要开洞的地方;(3)把暴露出来的SiO2刻蚀掉;(4)洗掉光阻,大功告成


                上图的工艺很简单,就是一步光刻。这里不再赘述「。这里重点讲讲SiO2的刻蚀是怎么做到的。我们也趁这个机会,全面的介绍一下刻蚀。

                7.2 刻蚀(Etching)

                其实刻蚀这一步在先前多篇文章中都有涉及,但一直没有全面地成系统地介绍。这篇文章本来会比较短的,那就正好在这里说说刻蚀,凑凑篇幅。

                刻蚀工艺分成两个大类:湿刻(Wet Etching),和干刻(Dry Etching)。

                湿刻:用某种腐蚀溶液与被刻蚀材料发生化学反应。反应在各个方←向上发生,也就是各向同性(Isotropic)的。
                干法:腐蚀气体的等离子体轰击被刻蚀材料表面,这个过程包括物理反应和化学反应。其中的物理反应只发生在垂直方向上,所以是各向异性(Anisotropic)的。


                7.2.1 湿法刻蚀

                我相信湿法刻蚀应该是很好理解的,就好比高中化学实验,金属和酸反应,生成盐和氢气,金属就没了。这种反应发生在金属的各个方向上,也就是说各方向之间的反应速率没有区别,所以是各项同性的。

                湿法刻蚀SiO2用的溶液叫做BOE(Buffered Oxide Etchant)。BOE的溶剂配比可以根据需要自行选配,但其主要成分就是稀(HF)。 操作的时候需要谨慎。

                SiO2 + 6HF → H2[SiF6] + 2H2O

                7.2.2 干法刻蚀

                干法与湿法比,较复杂,如下图。

                Fig. 26 干法刻蚀。图中有标号的过程是╲化学反应部分:(1)产生刻蚀剂;(2)向样品表面扩散;(3)被表面吸收;(4)与表面材料发生化学反应,材料被刻蚀掉;(5)反应副产物脱离样品表面;(6)副产物被气体带走。Ion Bombardment是离子对表面的直接轰击,属物理反应部分。

                从图中可以看出,干法∞刻蚀既有化学反应,也有物理反应。化学反应的部分和湿刻比,无非就是刻蚀剂从液体变成了气体,原理是一样,所以二者都是各项同性的刻蚀。物理反应的部分是等离子体对材料表面的直接能量轰击,把原子打掉,就好比用针头戳面包,一戳一个孔。这部分是各向异性的,因为等离子体的轰击只有垂直方向。

                等离子体轰击有一个缺陷,就是分不清敌我。我既可以轰击下面的材料,同时也是可以轰击遮盖材料的光阻的。此时,光阻要足够厚◆,不然本该被遮挡的材料也难以幸免了。

                刻蚀SiO2用的刻蚀气体是CF4和CHF3,生成SiF4(气体)。


                Fig. 27 干刻机(Dry Etcher)


                好啦,SiO2的刻蚀我们做完了,截止到现在,我们就已经完成了所有薄膜晶体管(TFT)的制造工序了。




                举报 | 1楼 回复

                友情链接